Welcome![Sign In][Sign Up]
Location:
Search - piano vhdl

Search list

[Software EngineeringFPGA

Description: 系统应用FPGA技术,通过VHDL编程,在CPLD上实现。电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法,详细介绍各模块的设计及模块之间的连接组合方法,还包括电子琴的使用说明。-System FPGA technology, the adoption of VHDL programming, to achieve in the CPLD. The basic principles of flower is the corresponding frequency of each note will be the frequency of enlarged issued after the driver speaker audio. Playing the organ, including manual and automatic playing two functions, which also play recordable playback manually. The paper describes the design of flower and block the realization of the principle of the method, details of the module design and module combination of the connection between the methods also include the use of electric piano note.
Platform: | Size: 49152 | Author: 严术骞 | Hits:

[VHDL-FPGA-VerilogDE2_Synthesizer

Description: 利用此源碼可以使用ALTERA DE2開發板將鍵盤變成一部電子琴-Use this source code can use the ALTERA DE2 development board will be turned into an electric piano keyboard
Platform: | Size: 109568 | Author: 林哲 | Hits:

[VHDL-FPGA-VerilogMusic_Player

Description: 用vhdl实现的电子琴中的音乐播放模块,可以实现自动播放以及手动播放功能-Using VHDL realize the electric piano in the music player module, you can realize automatic and manual player playback
Platform: | Size: 2048 | Author: 万玉龙 | Hits:

[Software Engineeringpiano

Description: 电子琴程序设计,还是不错的,哈哈,有兴趣的可以载-Flower program design, or good, ha ha, are interested in can be set
Platform: | Size: 49152 | Author: asdfadf | Hits:

[VHDL-FPGA-Verilogdianziqing

Description: vhdl代码: 电子琴程序设计与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: program design and simulation of electric piano! FPGA beginner who can refer to reference! ! Relatively simple
Platform: | Size: 49152 | Author: daxiadian2 | Hits:

[Otherpiano

Description: 电子琴,quartus开发环境,硬件连接模型,蜂鸣器-piano
Platform: | Size: 1559552 | Author: 汪雷明 | Hits:

[VHDL-FPGA-Verilogzhushaoyong

Description: 设计并制作一个14键单音电子琴预先存入一些曲谱电路在4Hz的时钟控制下自动播放 通过220V电源适配器给电路提供工作电源-Design and production of a 14-key electric piano tone into a number of music scores advance in 4Hz clock circuit under the control of automatic play through 220V power adapter to provide power to the circuit
Platform: | Size: 490496 | Author: 邱颖 | Hits:

[OS programedapiano.doc.tar

Description: EDA 电子琴 EDA 电子琴 EDA 电子琴 -EDA piano
Platform: | Size: 74752 | Author: tea | Hits:

[Otherspeaker

Description: verilog写的电子钢琴,内容简单,但频率与数字间关系的原理详尽,实验通过,供新手学习讨论-write verilog electronic piano, the contents of simple, but the relationship between frequency and number of the principle of detailed experimental passed, new learning
Platform: | Size: 4096 | Author: 山哥 | Hits:

[ELanguage20080108103305384

Description: 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple eight-note keyboard and music generator, the system clock divider based on the principle of the computer, using top-down design approach to achieve, it can control the audio through the key input to . The system automatically performed by the music module, instrument module piano demo/music feature selection module, tone modules, and numerical control frequency occurrence module of five parts. System implementation is to use hardware description language VHDL modular way by design, then programming, timing simulation, integration. The system functions and it has some value.
Platform: | Size: 50176 | Author: 123 | Hits:

[VHDL-FPGA-Verilogdianziqn

Description: 电子琴的代码,可以自己即兴演奏的电子琴,基于vhdl语言实现,音色很不错啊-e-piano based on quartusII and designed by vhdl language
Platform: | Size: 2448384 | Author: lxb | Hits:

[VHDL-FPGA-Verilogproiect

Description: Make a piano out of a keyboard using vhdl
Platform: | Size: 392192 | Author: paula | Hits:

[VHDL-FPGA-VerilogPIANo

Description: (1)下载“KX232_PIANO_C5T”文件夹中的sof文件。 (2) 接上串行通信线,与PC机通信。 (3)在“FOR_PC_FILE”文件夹中,双击打开上位机软件“SEND”,按键盘上的“1、2、3.。。”即可弹琴。SEND窗口即显示对应的ASIC码。-(1) Download " KX232_PIANO_C5T" folder in the sof file. (2) connected to the serial communication lines, and the PC machine communication. (3) " FOR_PC_FILE" folder, double-click to open the PC software " SEND" , press the keyboard " 1,2,3..." To play the piano. SEND ASIC window that displays the corresponding code.
Platform: | Size: 49152 | Author: 袁方 | Hits:

[VHDL-FPGA-Verilog6.1

Description: FPGA实现多功能闹钟,有电子钟、秒表、定时器、电子琴功能-FPGA realization of multi-function alarm clock, which can function as a clock, a stopwatch, a timer,and a piano.
Platform: | Size: 1671168 | Author: f | Hits:

[VHDL-FPGA-Verilog15-piano

Description: 这是一个成功的电子琴VHDL源代码,已在DH-33001开发板上调试成功。-This is a successful organ VHDL source code, in the DH-33001 development board debugging.
Platform: | Size: 77824 | Author: hjs | Hits:

[Other3.6

Description: 钢琴键,可在显示器显示。并可发出声音。vhdl编写-Piano keys, can be displayed on the display. May sound. vhdl prepared
Platform: | Size: 10240 | Author: 祝小菜 | Hits:

[VHDL-FPGA-VerilogVHDL-based-music-player-design

Description: 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 2012 EDA large operations, including design documentation and source code. The designed system is difficult to find online (at that time I did not find, in particular, is the source), two systems but also has a certain practicality, as long as different songs stored in the ROM code, you can play a different song. Article details " function with automatic music playing piano," the FPGA design principles and methods, the use of ROM storage notes and beats, matrix keyboard to control the entire system. Source notes clear and easy to understand. Welcome to my blog: http://blog.csdn.net/enjoyyl
Platform: | Size: 4927488 | Author: 刘志 | Hits:

[OtherPiano

Description: 用VHDL写的,实现触摸屏电子琴的功能,可录音、放音,适用于赛灵思的板子。-Written using VHDL realize the function of touch-screen keyboard, recordable, playback for Xilinx board.
Platform: | Size: 1061888 | Author: Ding | Hits:

[VHDL-FPGA-VerilogElectronic-piano

Description: 利用VHDL设计电子琴,能够进行7个音符的发声-Design with VHDL Keyboard
Platform: | Size: 1031168 | Author: lhc | Hits:

[VHDL-FPGA-Verilogpiano

Description: 电子琴 原创 作业 VHDL 采用计数器分频,内含简单儿歌数首,爱迪克EDA实验箱,有数码管与LED显示,采用键盘式输出,两行,中音高音。(Electronic piano original work VHDL, using counter frequency division, contains a few simple nursery rhyme, Edik EDA experimental box, there are digital tube and LED display, using keyboard output, two lines, alto treble.)
Platform: | Size: 1101824 | Author: qengleikangjen | Hits:
« 12 »

CodeBus www.codebus.net